Sciweavers

85 search results - page 5 / 17
» LZW-Based Code Compression for VLIW Embedded Systems
Sort
View
CODES
2004
IEEE
13 years 11 months ago
A loop accelerator for low power embedded VLIW processors
The high transistor density afforded by modern VLSI processes have enabled the design of embedded processors that use clustered execution units to deliver high levels of performan...
Binu K. Mathew, Al Davis
CODES
2002
IEEE
14 years 14 days ago
Energy savings through compression in embedded Java environments
Limited energy and memory resources are important constraints in the design of an embedded system. Compression is an useful and widely employed mechanism to reduce the memory requ...
Guangyu Chen, Mahmut T. Kandemir, Narayanan Vijayk...
DATE
2008
IEEE
139views Hardware» more  DATE 2008»
14 years 2 months ago
Instruction Re-encoding Facilitating Dense Embedded Code
Reducing the code size of embedded applications is one of the important constraint in embedded system design. Code compression can provide substantial savings in terms of size. In...
Talal Bonny, Jörg Henkel
IEEEPACT
2002
IEEE
14 years 13 days ago
Optimizing Loop Performance for Clustered VLIW Architectures
Modern embedded systems often require high degrees of instruction-level parallelism (ILP) within strict constraints on power consumption and chip cost. Unfortunately, a high-perfo...
Yi Qian, Steve Carr, Philip H. Sweany
DAC
2004
ACM
14 years 8 months ago
Multi-profile based code compression
Code compression has been shown to be an effective technique to reduce code size in memory constrained embedded systems. It has also been used as a way to increase cache hit ratio...
Eduardo Wanderley Netto, Rodolfo Azevedo, Paulo Ce...