Sciweavers

168 search results - page 4 / 34
» Statistics and Secret Leakage
Sort
View
DAC
2004
ACM
13 years 11 months ago
Leakage in nano-scale technologies: mechanisms, impact and design considerations
The high leakage current in nano-meter regimes is becoming a significant portion of power dissipation in CMOS circuits as threshold voltage, channel length, and gate oxide thickne...
Amit Agarwal, Chris H. Kim, Saibal Mukhopadhyay, K...
TCAD
2008
115views more  TCAD 2008»
13 years 7 months ago
Statistical Thermal Profile Considering Process Variations: Analysis and Applications
The nonuniform substrate thermal profile and process variations are two major concerns in the present-day ultradeep submicrometer designs. To correctly predict performance/ leakage...
Javid Jaffari, Mohab Anis
IACR
2011
88views more  IACR 2011»
12 years 7 months ago
Storing Secrets on Continually Leaky Devices
We consider the question of how to store a value secretly on devices that continually leak information about their internal state to an external attacker. If the secret value is s...
Yevgeniy Dodis, Allison B. Lewko, Brent Waters, Da...
CRYPTO
2010
Springer
157views Cryptology» more  CRYPTO 2010»
13 years 8 months ago
Circular and Leakage Resilient Public-Key Encryption under Subgroup Indistinguishability - (or: Quadratic Residuosity Strikes Ba
The main results of this work are new public-key encryption schemes that, under the quadratic residuosity (QR) assumption (or Paillier's decisional composite residuosity (DCR...
Zvika Brakerski, Shafi Goldwasser
VLSID
2007
IEEE
120views VLSI» more  VLSID 2007»
14 years 7 months ago
Statistical Leakage and Timing Optimization for Submicron Process Variation
Leakage power is becoming a dominant contributor to the total power consumption and dual-Vth assignment is an efficient technique to decrease leakage power, for which effective de...
Yuanlin Lu, Vishwani D. Agrawal