Sciweavers

173 search results - page 4 / 35
» The Performance of Runtime Data Cache Prefetching in a Dynam...
Sort
View
SSDBM
2003
IEEE
142views Database» more  SSDBM 2003»
14 years 1 months ago
A Strategy Selection Framework for Adaptive Prefetching in Data Visualization
Accessing data stored in persistent memory represents a bottleneck for current visual exploration applications. Semantic caching of frequent queries at the client-side along with ...
Punit R. Doshi, Geraldine E. Rosario, Elke A. Rund...
ASPLOS
2010
ACM
14 years 3 months ago
COMPASS: a programmable data prefetcher using idle GPU shaders
A traditional fixed-function graphics accelerator has evolved into a programmable general-purpose graphics processing unit over the last few years. These powerful computing cores...
Dong Hyuk Woo, Hsien-Hsin S. Lee
ASPLOS
1992
ACM
14 years 20 days ago
Design and Evaluation of a Compiler Algorithm for Prefetching
Software-controlled data prefetching is a promising technique for improving the performance of the memory subsystem to match today's high-performance processors. While prefet...
Todd C. Mowry, Monica S. Lam, Anoop Gupta
ICCD
2004
IEEE
106views Hardware» more  ICCD 2004»
14 years 5 months ago
Energy Characterization of Hardware-Based Data Prefetching
This paper evaluates several hardware-based data prefetching techniques from an energy perspective, and explores their energy/performance tradeoffs. We present detailed simulation...
Yao Guo, Saurabh Chheda, Israel Koren, C. Mani Kri...
ISHPC
2003
Springer
14 years 1 months ago
Code and Data Transformations for Improving Shared Cache Performance on SMT Processors
Simultaneous multithreaded processors use shared on-chip caches, which yield better cost-performance ratios. Sharing a cache between simultaneously executing threads causes excessi...
Dimitrios S. Nikolopoulos