Sciweavers

166 search results - page 4 / 34
» Through Silicon Vias as Enablers for 3D Systems
Sort
View
SLIP
2009
ACM
14 years 1 months ago
Through-silicon-via aware interconnect prediction and optimization for 3D stacked ICs
Individual dies in 3D integrated circuits are connected using throughsilicon-vias (TSVs). TSVs not only increase manufacturing cost, but also incur silicon area, delay, and power ...
Dae Hyun Kim, Saibal Mukhopadhyay, Sung Kyu Lim
ISPD
2011
ACM
253views Hardware» more  ISPD 2011»
12 years 10 months ago
Assembling 2D blocks into 3D chips
Three-dimensional ICs promise to significantly extend the scale of system integration and facilitate new-generation electronics. However, progress in commercial 3D ICs has been s...
Johann Knechtel, Igor L. Markov, Jens Lienig
CORR
2008
Springer
194views Education» more  CORR 2008»
13 years 7 months ago
Fabrication of 3D Packaging TSV using DRIE
Emerging 3D chips stacking and MEMS/Sensors packaging technologies are using DRIE (Deep Reactive Ion Etching) to etch Through-Silicon Via (TSV) for advanced interconnections. The ...
M. Puech, Jean-Marc Thevenoud, J. M. Gruffat, N. L...
ICCAD
2008
IEEE
161views Hardware» more  ICCAD 2008»
14 years 4 months ago
A low-overhead fault tolerance scheme for TSV-based 3D network on chip links
— Three-dimensional die stacking integration provides the ability to stack multiple layers of processed silicon with a large number of vertical interconnects. Through Silicon Via...
Igor Loi, Subhasish Mitra, Thomas H. Lee, Shinobu ...
DATE
2009
IEEE
161views Hardware» more  DATE 2009»
14 years 2 months ago
Co-design of signal, power, and thermal distribution networks for 3D ICs
— Heat removal and power delivery are two major reliability concerns in the 3D stacked IC technology. Liquid cooling based on micro-fluidic channels is proposed as a viable solu...
Young-Joon Lee, Yoon Jo Kim, Gang Huang, Muhannad ...