Sciweavers

148 search results - page 18 / 30
» Variation-aware dynamic voltage frequency scaling
Sort
View
DAC
2010
ACM
13 years 10 months ago
Network on chip design and optimization using specialized influence models
In this study, we propose the use of specialized influence models to capture the dynamic behavior of a Network-onChip (NoC). Our goal is to construct a versatile modeling framewor...
Cristinel Ababei
EMSOFT
2006
Springer
14 years 13 days ago
Energy adaptation for multimedia information kiosks
Video kiosks increasingly contain powerful PC-like embedded processors, allowing them to display video at a high level of quality. Such video display, however, entails significant...
Richard Urunuela, Gilles Muller, Julia L. Lawall
ISCA
2010
IEEE
163views Hardware» more  ISCA 2010»
14 years 1 months ago
WiDGET: Wisconsin decoupled grid execution tiles
The recent paradigm shift to multi-core systems results in high system throughput within a specified power budget. However, future systems still require good single thread perfor...
Yasuko Watanabe, John D. Davis, David A. Wood
MICRO
2009
IEEE
113views Hardware» more  MICRO 2009»
14 years 3 months ago
The BubbleWrap many-core: popping cores for sequential acceleration
Many-core scaling now faces a power wall. The gap between the number of cores that fit on a die and the number that can operate simultaneously under the power budget is rapidly i...
Ulya R. Karpuzcu, Brian Greskamp, Josep Torrellas
ICCD
2007
IEEE
98views Hardware» more  ICCD 2007»
14 years 5 months ago
Priority-monotonic energy management for real-time systems with reliability requirements
Considering the impact of the popular energy management technique Dynamic Voltage and Frequency Scaling (DVFS) on system reliability, the Reliability-Aware Power Management (RA-PM...
Dakai Zhu, Xuan Qi, Hakan Aydin