Sciweavers

ISLPED
2007
ACM
110views Hardware» more  ISLPED 2007»
13 years 11 months ago
Analysis of dynamic voltage/frequency scaling in chip-multiprocessors
Fine-grained dynamic voltage/frequency scaling (DVFS) demonstrates great promise for improving the energy-efficiency of chip-multiprocessors (CMPs), which have emerged as a popula...
Sebastian Herbert, Diana Marculescu
ISLPED
2007
ACM
123views Hardware» more  ISLPED 2007»
13 years 11 months ago
Approximation algorithms for power minimization of earliest deadline first and rate monotonic schedules
We address power minimization of earliest deadline first and ratemonotonic schedules by voltage and frequency scaling. We prove that the problems are NP-hard, and present (1+ ) f...
Sushu Zhang, Karam S. Chatha, Goran Konjevod
ISLPED
2007
ACM
96views Hardware» more  ISLPED 2007»
13 years 11 months ago
Low-power process-variation tolerant arithmetic units using input-based elastic clocking
In this paper we propose a design methodology for low-power, high-performance, process-variation tolerant architecture for arithmetic units. The novelty of our approach lies in th...
Debabrata Mohapatra, Georgios Karakonstantis, Kaus...