Sciweavers

157 search results - page 8 / 32
» Dynamic Voltage and Cache Reconfiguration for Low Power
Sort
View
ASPDAC
2007
ACM
83views Hardware» more  ASPDAC 2007»
13 years 11 months ago
Program Phase Directed Dynamic Cache Way Reconfiguration for Power Efficiency
Subhasis Banerjee, G. Surendra, S. K. Nandy
ISCAS
2006
IEEE
135views Hardware» more  ISCAS 2006»
14 years 1 months ago
Wide temperature spectrum low leakage dynamic circuit technique for sub-65nm CMOS technologies
A new circuit technique is proposed in this paper for simultaneously reducing the subthreshold and gate oxide leakage power in domino logic circuits. PMOS-only sleep transistors ar...
Volkan Kursun, Zhiyu Liu
ASPDAC
2010
ACM
124views Hardware» more  ASPDAC 2010»
13 years 5 months ago
MuCCRA-3: a low power dynamically reconfigurable processor array
Yoshiki Saito, Toru Sano, Masaru Kato, Vasutan Tun...
LCTRTS
2007
Springer
14 years 1 months ago
Compiler-managed partitioned data caches for low power
Set-associative caches are traditionally managed using hardwarebased lookup and replacement schemes that have high energy overheads. Ideally, the caching strategy should be tailor...
Rajiv A. Ravindran, Michael L. Chu, Scott A. Mahlk...