Sciweavers

1444 search results - page 78 / 289
» On Variations of Power Iteration
Sort
View
ISCAS
2008
IEEE
147views Hardware» more  ISCAS 2008»
14 years 4 months ago
Fast frequency acquisition all-digital PLL using PVT calibration
—Fast frequency acquisition is crucial for phase-locked loops (PLLs) used in portable devices, as on-chip clocks are frequently scaled down or up in order to manage power consump...
Hae-Soo Jeon, Duk-Hyun You, In-Cheol Park
ICCAD
2003
IEEE
175views Hardware» more  ICCAD 2003»
14 years 7 months ago
Path Delay Estimation using Power Supply Transient Signals: A Comparative Study using Fourier and Wavelet Analysis
Transient Signal Analysis (TSA) is a parametric device testing technique based on the analysis of dynamic (transient) current (iDDT) drawn by the core logic from the power supply ...
Abhishek Singh, Jitin Tharian, Jim Plusquellic
GLVLSI
2010
IEEE
171views VLSI» more  GLVLSI 2010»
14 years 3 months ago
Timing-driven variation-aware nonuniform clock mesh synthesis
Clock skew variations adversely affect timing margins, limiting performance, reducing yield, and may also lead to functional faults. Non-tree clock distribution networks, such as ...
Ameer Abdelhadi, Ran Ginosar, Avinoam Kolodny, Eby...
DAC
2005
ACM
14 years 11 months ago
Variations-aware low-power design with voltage scaling
We present a new methodology which takes into consideration the effect of Within-Die (WID) process variations on a low-voltage parallel system. We show that in the presence of pro...
Navid Azizi, Muhammad M. Khellah, Vivek De, Farid ...
DAC
2004
ACM
14 years 11 months ago
Selective gate-length biasing for cost-effective runtime leakage control
With process scaling, leakage power reduction has become one of the most important design concerns. Multi-threshold techniques have been used to reduce runtime leakage power witho...
Puneet Gupta, Andrew B. Kahng, Puneet Sharma, Denn...