Sciweavers

ACSC
2003
IEEE
14 years 22 days ago
Self-Adaptive Clock Synchronization Based on Clock Precision Difference
This paper presents an innovative strategy to synchronize all virtual clocks in asynchronous Internet environments. Our model is based on the architecture of one reference clock a...
Ying Zhao, Wanlei Zhou, Elicia Lanham, Shui Yu, Mi...
PODC
2004
ACM
14 years 25 days ago
Gradient clock synchronization
We introduce the distributed gradient clock synchronization problem. As in traditional distributed clock synchronization, we consider a network of nodes equipped with hardware clo...
Rui Fan, Nancy A. Lynch
ISLPED
2004
ACM
123views Hardware» more  ISLPED 2004»
14 years 26 days ago
Improved clock-gating through transparent pipelining
This paper re-examines the well established clocking principles of pipelines. It is observed that clock gating techniques that have long been assumed optimal in reality produce a ...
Hans M. Jacobson
GECCO
2005
Springer
152views Optimization» more  GECCO 2005»
14 years 28 days ago
Multi-level genetic algorithm (MLGA) for the construction of clock binary tree
The clock signal and clock skew become more and more important for the circuit performance. Since there are salient shortcomings in the conventional topology construction algorith...
Guofang Nan, Minqiang Li, Jisong Kou
ISCAS
2005
IEEE
138views Hardware» more  ISCAS 2005»
14 years 1 months ago
Transition time bounded low-power clock tree construction
— Recently power becomes a significant issue in clock network design for high-performance ICs because the clock network consumes a large portion of the total power in the whole s...
Min Pan, Chris C. N. Chu, J. Morris Chang
ASYNC
2005
IEEE
97views Hardware» more  ASYNC 2005»
14 years 1 months ago
Self-Timed Circuitry for Global Clocking
We present an apparatus used to distribute a timing reference or clock across the extent of a digital system. Selftimed circuitry both generates and distributes a clock signal, wh...
Scott Fairbanks, Simon W. Moore
ISLPED
2006
ACM
122views Hardware» more  ISLPED 2006»
14 years 1 months ago
Dynamic thermal clock skew compensation using tunable delay buffers
—The thermal gradients existing in high-performance circuits may significantly affect their timing behavior, in particular, by increasing the skew of the clock net and/or alteri...
Ashutosh Chakraborty, Karthik Duraisami, Ashoka Vi...
ICCAD
2006
IEEE
132views Hardware» more  ICCAD 2006»
14 years 1 months ago
Clock buffer polarity assignment for power noise reduction
Abstract—Power/ground noise is a major source of VLSI circuit timing variations. This work aims to reduce clock network induced power noise by assigning different signal polariti...
Rupak Samanta, Ganesh Venkataraman, Jiang Hu
DATE
2006
IEEE
104views Hardware» more  DATE 2006»
14 years 1 months ago
Integrated placement and skew optimization for rotary clocking
—The clock distribution network is a key component of any synchronous VLSI design. High power dissipation and pressure volume temperature-induced variations in clock skew have st...
Ganesh Venkataraman, Jiang Hu, Frank Liu, Cliff C....
ISVLSI
2007
IEEE
184views VLSI» more  ISVLSI 2007»
14 years 1 months ago
Activity-Aware Registers Placement for Low Power Gated Clock Tree Construction
As power consumption of the clock tree dominates over 40% of the total power in modern high performance VLSI designs, measures must be taken to keep it under control. One of the m...
Weixiang Shen, Yici Cai, Xianlong Hong, Jiang Hu