Sciweavers

7 search results - page 1 / 2
» Parametric Yield Modeling and Simulations of FPGA Circuits C...
Sort
View
FPGA
2007
ACM
142views FPGA» more  FPGA 2007»
14 years 2 months ago
Parametric yield in FPGAs due to within-die delay variations: a quantitative analysis
Variations in the semiconductor fabrication process results in variability in parameters between transistors on the same die, a problem exacerbated by lithographic scaling. The re...
N. Pete Sedcole, Peter Y. K. Cheung
GLVLSI
2005
IEEE
205views VLSI» more  GLVLSI 2005»
14 years 2 months ago
Optimization objectives and models of variation for statistical gate sizing
This paper approaches statistical optimization by examining gate delay variation models and optimization objectives. Most previous work on statistical optimization has focused exc...
Matthew R. Guthaus, Natesan Venkateswaran, Vladimi...
ICCAD
2005
IEEE
133views Hardware» more  ICCAD 2005»
14 years 5 months ago
Gate sizing using incremental parameterized statistical timing analysis
— As technology scales into the sub-90nm domain, manufacturing variations become an increasingly significant portion of circuit delay. As a result, delays must be modeled as sta...
Matthew R. Guthaus, Natesan Venkateswaran, Chandu ...
ISQED
2005
IEEE
125views Hardware» more  ISQED 2005»
14 years 2 months ago
A New Method for Design of Robust Digital Circuits
As technology continues to scale beyond 100nm, there is a significant increase in performance uncertainty of CMOS logic due to process and environmental variations. Traditional c...
Dinesh Patil, Sunghee Yun, Seung-Jean Kim, Alvin C...