Sciweavers

419 search results - page 10 / 84
» Synthesis of networks on chips for 3D systems on chips
Sort
View
ASPDAC
2009
ACM
159views Hardware» more  ASPDAC 2009»
14 years 1 months ago
Congestion-aware power grid optimization for 3D circuits using MIM and CMOS decoupling capacitors
— In three-dimensional (3D) chips, the amount of supply current per package pin is significantly more than in two-dimensional (2D) designs. Therefore, the power supply noise pro...
Pingqiang Zhou, Karthikk Sridharan, Sachin S. Sapa...
VLSID
2010
IEEE
173views VLSI» more  VLSID 2010»
14 years 14 days ago
Voltage-Frequency Planning for Thermal-Aware, Low-Power Design of Regular 3-D NoCs
Network-on-Chip combined with Globally Asynchronous Locally Synchronous paradigm is a promising architecture for easy IP integration and utilization with multiple voltage levels. ...
Mohammad Arjomand, Hamid Sarbazi-Azad
ASPDAC
2006
ACM
148views Hardware» more  ASPDAC 2006»
14 years 2 months ago
An automated design flow for 3D microarchitecture evaluation
- Although the emerging three-dimensional integration technology can significantly reduce interconnect delay, chip area, and power dissipation in nanometer technologies, its impact...
Jason Cong, Ashok Jagannathan, Yuchun Ma, Glenn Re...
SLIP
2009
ACM
14 years 3 months ago
Predicting the worst-case voltage violation in a 3D power network
This paper proposes an efficient method to predict the worst case of voltage violation by multi-domain clock gating in a three-dimensional (3D) on-chip power network considering l...
Wanping Zhang, Wenjian Yu, Xiang Hu, Amirali Shaya...
ISCAS
2006
IEEE
121views Hardware» more  ISCAS 2006»
14 years 2 months ago
Microelectromechanical systems in 3D SOI-CMOS: sensing electronics embedded in mechanical structures
— We discuss the design of CMOS MEMS in a 3D SOI-CMOS technology. We present layout architectures, preliminary mechanics modeling using finite element analysis and release proce...
Francisco Tejada, Andreas G. Andreou