Sciweavers

DAC
2010
ACM
13 years 7 months ago
Crosstalk noise and bit error rate analysis for optical network-on-chip
Crosstalk noise is an intrinsic characteristic of photonic devices used by optical networks-on-chip (ONoCs) as well as a potential issue. For the first time, this paper analyzed a...
Yiyuan Xie, Mahdi Nikdast, Jiang Xu, Wei Zhang, Qi...
ISPD
2000
ACM
169views Hardware» more  ISPD 2000»
13 years 12 months ago
Aggressor alignment for worst-case coupling noise
In this paper we study signal alignment resulting in maximum peak interconnect crosstalk noise. We consider two cases. In the first one we assume that arbitrary arrival times of i...
Lauren Hui Chen, Malgorzata Marek-Sadowska
DATE
2002
IEEE
124views Hardware» more  DATE 2002»
14 years 13 days ago
Crosstalk Alleviation for Dynamic PLAs
—The dynamic programmable logic array (PLA) style has become popular in designing high-performance microprocessors because of its high speed and predictable routing delay. Howeve...
Tzyy-Kuen Tien, Tong-Kai Tsai, Shih-Chieh Chang
DATE
2002
IEEE
104views Hardware» more  DATE 2002»
14 years 13 days ago
Closed-Form Crosstalk Noise Metrics for Physical Design Applications
In this paper we present efficient closed-form formulas to estimate capacitive coupling-induced crosstalk noise for distributed RC coupling trees. The efficiency of our approach...
Lauren Hui Chen, Malgorzata Marek-Sadowska
SLIP
2003
ACM
14 years 21 days ago
Error-correction and crosstalk avoidance in DSM busses
Aggressive process scaling and increasing clock rates have made crosstalk noise an important issue in VLSI design. Switching on adjacent wires on long bus lines can increase delay...
Ketan N. Patel, Igor L. Markov
DAC
2003
ACM
14 years 22 days ago
Crosstalk noise in FPGAs
In recent years, due to rapid advances in VLSI manufacturing technology capable of packing more and more devices and wires on a chip, crosstalk has emerged as a serious problem af...
Yajun Ran, Malgorzata Marek-Sadowska
ITC
2003
IEEE
148views Hardware» more  ITC 2003»
14 years 22 days ago
HyAC: A Hybrid Structural SAT Based ATPG for Crosstalk
As technology evolves into the deep sub-micron era, signal integrity problems are growing into a major challenge. An important source of signal integrity problems is the crosstalk...
Xiaoliang Bai, Sujit Dey, Angela Krstic
DELTA
2008
IEEE
14 years 1 months ago
Compact Models for Signal Transient and Crosstalk Noise of Coupled RLC Interconnect Lines with Ramp Inputs
Analytical compact form models for the signal transient and crosstalk noise of two-coupled RLC lines are developed. Capacitive and inductive coupling effects are investigated and ...
Taehoon Kim, Dongchul Kim, Jung-A Lee, Yungseon Eo
ICCAD
2004
IEEE
102views Hardware» more  ICCAD 2004»
14 years 4 months ago
True crosstalk aware incremental placement with noise map
Crosstalk noise has become an important issue as technology scales down for timing and signal integrity closure. Existing works to fix crosstalk noise are mostly done at the rout...
Haoxing Ren, David Zhigang Pan, Paul Villarrubia
ICCD
2001
IEEE
213views Hardware» more  ICCD 2001»
14 years 4 months ago
Analysis and Reduction of Capacitive Coupling Noise in High-Speed VLSI Circuits
Abstract-- Scaling the minimum feature size of VLSI circuits to sub-quarter micron and its clock frequency to 2GHz has caused crosstalk noise to become a serious problem, that degr...
Payam Heydari, Massoud Pedram